Reactive Ion Etching of Indium Phosphide-based Heterostructures and Field-effect Transistors Using Hydrogen Bromide Plasma

Reactive Ion Etching of Indium Phosphide-based Heterostructures and Field-effect Transistors Using Hydrogen Bromide Plasma

Author: Sambhulal Agarwala

Publisher:

Published: 1994

Total Pages:

ISBN-13:

DOWNLOAD EBOOK

A new highly selective reactive ion etching process based on HBr plasma for the removal of InGaAs over InAlAs has been developed and the results are presented. The etch selectivity at a self-bias voltage of $-$100 V is over 160, which is the highest that has been reported for this material system so far. High etch selectivity is maintained over a wide range of chamber pressure and plasma self-bias voltages. The mechanism of this etch selectivity is determined to be due to the formation of involatile Al$sb2$O$sb3$. Selective HBr etching has been applied as the gate-recess process in the fabrication of InAlAs/InGaAs heterostructure FETs. Since less RIE-induced damage was observed in delta-doped structures, delta-doping was employed in all InP-based HFETs. The dc and rf device parameters of a typical 0.75-$mu$m gate-length transistor compare favorably with those of a corresponding device gate-recessed with a selective wet-etching technique. An extrinsic current-gain cutoff frequency of 150 GHz is obtained for a typical 0.2 $mu$m gate-length HFET device that was fabricated using selective HBr gate recess process. RIE-induced damage is characterized extensively using a variety of techniques such as AES, XPS, and SIMS analyses, Raman scattering, Hall measurements and Schottky characteristics. No significant degradation in surface properties is observed. The lattice damage in layer structures with 2DEG depth of greater than 20 nm was minimal. It is also observed that with increasing self-bias voltage the rate of removal of InGaAs increases faster than the rate of introduction of damage. An exponential distribution of damage with 1/e penetration depth of about 7.8 nm has been obtained. The exponential distribution of defects suggests that either ion channeling or diffusion is the possible mechanism of defect production in regions deeper than the projected range.


Inductively-coupled Plasma Reactive Ion Etching (ICP-RIE) with HBR and Other Etch Chemistries of SI/SIGE-based Resonant Interband Tunnel Diodes Grown by Low Temperature Molecular Beam Epitaxy (LT-MBE)

Inductively-coupled Plasma Reactive Ion Etching (ICP-RIE) with HBR and Other Etch Chemistries of SI/SIGE-based Resonant Interband Tunnel Diodes Grown by Low Temperature Molecular Beam Epitaxy (LT-MBE)

Author: Si-Young Park

Publisher:

Published: 2006

Total Pages: 156

ISBN-13:

DOWNLOAD EBOOK

Abstract: The International Technology Roadmap for Semiconductors (ITRS) forecasts that current semiconductor technology based on the mainstream silicon CMOS platform is approaching its scaling of limit. One emerging technology which may augment CMOS and extend its operational lifetime is tunneling devices together with transistors. Tunnel diode based circuits have superior performance regarding high speed operation concurrently with low power consumption. Si-based resonant interband tunnel diodes (RITD) developed by this research group that are grown epitaxially using low temperature molecular beam epitaxy (LT-MBE), now enable monolithic integration with Si CMOS and SiGe technology. This thesis focuses on the study of the plasma damage from inductively- coupled plasma reactive ion etching (ICP-RIE) processes using several different process gases, various ICP powers and substrate bias powers compared to wet etching techniques on Si-based diodes grown using low temperature molecular beam epitaxial (LT-MBE). Of particular interest and promise is an HBr etch chemistry that provides hydrogen passivation while etching. The minimization from incident ion damage and residual surface contamination during dry plasma etching is one of the key issues in modern VLSI manufacturing, especially as transistors/devices are scaled to below 50 nm lengths. Many researchers, therefore, are still developing many advanced techniques to reduce and minimize plasma damage created by dry plasma etching process.


Plasma Etching Processes for Interconnect Realization in VLSI

Plasma Etching Processes for Interconnect Realization in VLSI

Author: Nicolas Posseme

Publisher: Elsevier

Published: 2015-04-14

Total Pages: 123

ISBN-13: 0081005903

DOWNLOAD EBOOK

This is the first of two books presenting the challenges and future prospects of plasma etching processes for microelectronics, reviewing the past, present and future issues of etching processes in order to improve the understanding of these issues through innovative solutions.This book focuses on back end of line (BEOL) for high performance device realization and presents an overview of all etch challenges for interconnect realization as well as the current etch solutions proposed in the semiconductor industry. The choice of copper/low-k interconnect architecture is one of the keys for integrated circuit performance, process manufacturability and scalability. Today, implementation of porous low-k material is mandatory in order to minimize signal propagation delay in interconnections. In this context, the traditional plasma process issues (plasma-induced damage, dimension and profile control, selectivity) and new emerging challenges (residue formation, dielectric wiggling) are critical points of research in order to control the reliability and reduce defects in interconnects. These issues and potential solutions are illustrated by the authors through different process architectures available in the semiconductor industry (metallic or organic hard mask strategies). Presents the difficulties encountered for interconnect realization in very large-scale integrated (VLSI) circuits Focused on plasma-dielectric surface interaction Helps you further reduce the dielectric constant for the future technological nodes


Properties of Indium Phosphide

Properties of Indium Phosphide

Author:

Publisher: Institution of Electrical Engineers

Published: 1991

Total Pages: 528

ISBN-13:

DOWNLOAD EBOOK

Invaluble to those studying or exploiting Indium Phosphide, which can provide tunable light sources at wavelengths which undergo minimum attenuation in fiber optic cables.


Plasma Etching Processes for CMOS Devices Realization

Plasma Etching Processes for CMOS Devices Realization

Author: Nicolas Posseme

Publisher: Elsevier

Published: 2017-01-25

Total Pages: 138

ISBN-13: 0081011962

DOWNLOAD EBOOK

Plasma etching has long enabled the perpetuation of Moore's Law. Today, etch compensation helps to create devices that are smaller than 20 nm. But, with the constant downscaling in device dimensions and the emergence of complex 3D structures (like FinFet, Nanowire and stacked nanowire at longer term) and sub 20 nm devices, plasma etching requirements have become more and more stringent. Now more than ever, plasma etch technology is used to push the limits of semiconductor device fabrication into the nanoelectronics age. This will require improvement in plasma technology (plasma sources, chamber design, etc.), new chemistries (etch gases, flows, interactions with substrates, etc.) as well as a compatibility with new patterning techniques such as multiple patterning, EUV lithography, Direct Self Assembly, ebeam lithography or nanoimprint lithography. This book presents these etch challenges and associated solutions encountered throughout the years for transistor realization. Helps readers discover the master technology used to pattern complex structures involving various materials Explores the capabilities of cold plasmas to generate well controlled etched profiles and high etch selectivities between materials Teaches users how etch compensation helps to create devices that are smaller than 20 nm