Organic Inorganic Photoresist and Laser Induced Heating Process for Next Generation Lithography

Organic Inorganic Photoresist and Laser Induced Heating Process for Next Generation Lithography

Author: Jing Jiang

Publisher:

Published: 2015

Total Pages: 344

ISBN-13:

DOWNLOAD EBOOK

What technology will enable lithography to continue Moore's law beyond 10 nm node? Traditional photolithography, using a 193 nm wavelength and chemically amplified resist (CAR), is currently the workhorse in the semiconductor industry, but faces challenge of achieving required resolution and line width roughness (LWR). Extreme Ultraviolet Lithography (EUVL), using 13.5 nm light, is considered as the likely successor to 193 nm immersion lithography, but has been delayed for years due to both light source and resist materials challenges. Directed self-assembly (DSA) of block copolymers, as a bottom-up approach, has the potential for high resolution, but its process integration is completely different from conventional top-down lithography. All of these different techniques coexist as competing solutions, but also facing challenges at the same time. So how can we enable these technologies for the next generation lithography? This dissertation explores the materials used in these three main categories of lithography technologies (CAR, EUVL and DSA), providing unconventional approaches to address this question. Ultrafast and high temperature laser induced heating is utilized as a post exposure bake (PEB) method for chemically amplified photoresists. By studying the reaction and diffusion kinetics of photoresist systems during laser PEB, we have been able to correlate the apparent activation energies with pattern LWR for 193 nm photoresists. We found that the system with highest deprotection activation energy and lowest diffusion activation energy achieved 60% LWR reduction using laser PEB compared to conventional hotplate annealing. Laser annealing is also utilized for directed self-assembly of block copolymers. Polymer chain mobility is greatly increased by increasing temperature, allowing ordering within 5-20 ms before polymer decomposition can occur. Effects of laser power, dwell time, underlayer and graphoepitaxy were examined with long range order and alignment was achieved with 20 ms laser annealing. Ligand-stabilized metal oxide nanoparticles resist have shown extraordinary sensitivity for EUV lithography (4.2 mJ/cm2for the 22 nm features). This study suggests that ligands can be directly cleaved by UV radiation, which is accelerated in the presence of a photoacid generator (PAG). This implies that the ligand structures is important to resist performance. By systematically synthesizing and characterizing of nanoparticles with different ligands, we correlated the lithographic performance with ligand structures, offering the potential for future rational resist design.


Materials and Processes for Next Generation Lithography

Materials and Processes for Next Generation Lithography

Author:

Publisher: Elsevier

Published: 2016-11-08

Total Pages: 636

ISBN-13: 0081003587

DOWNLOAD EBOOK

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication. Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation Includes information on processing and metrology techniques Brings together multiple approaches to litho pattern recording from academia and industry in one place


Small Molecule Photoresist Materials for Next Generation Lithography

Small Molecule Photoresist Materials for Next Generation Lithography

Author: Marie Elyse Krysak

Publisher:

Published: 2013

Total Pages: 414

ISBN-13:

DOWNLOAD EBOOK

Photolithography remains the most efficient method to create semiconductor devices. Moore's law states that the number of transistors per integrated circuit will double every four years. In order to successfully continue this trend of miniaturizing feature sizes, new, smaller sized patterning materials must be studied. Small molecule photoresists are being developed for high resolution patterning. Low molecular weight amorphous materials, or molecular glasses (MGs), have emerged as alternatives to polymeric resist materials. They combine the benefits of small molecular size with the favorable aspects of polymers, such as a high glass transition temperature (Tg) and the ability to form thin films. Inorganic-based nanoparticles are currently being explored as next generation photoresists. These materials are similar in architecture to MGs, but are comprised of an inorganic core that provides excellent thermal stability and resistance to plasma etching. This research focuses on the synthesis and characterization both MG and nanoparticle resist materials for high resolution patterning. The materials studied are designed for use with Extreme Ultraviolet Lithography (EUV-L), using a wavelength of 13.5 nm. This next-generation technique is believed to be the key to extending patterning capabilities to sub 30 nm and beyond. Small molecule resists materials have been specifically designed for use with alternative lithographic processing techniques. Small, rigid structures were designed for vapor deposition, which has been examined as an alternative to spin-coating. This process has been shown to deposit a uniform film, free from defects and impurities, without the use of solvent. Sub-millisecond laser heating is a relatively new technique that is studied as an alternative the post exposure bake. This method has shown the ability to reduce line edge roughness while simultaneously improving resist sensitivity. Systematically designed MG photoacid generators have been used to characterize the acid diffusion behavior during laser heating as compared to traditional hotplate heating. The development of resist materials for these new processes is a critical step in the preparation of these processes for widespread use in lithographic processing. ii.


Solvent-based Development of Photoresists for Next-generation Lithography

Solvent-based Development of Photoresists for Next-generation Lithography

Author: Christine Y. Ouyang

Publisher:

Published: 2013

Total Pages: 334

ISBN-13:

DOWNLOAD EBOOK

As feature sizes continue to shrink, the need for new materials and new processes for next-generation lithography becomes more urgent. Although aqueous base development has been the industry standard for over twenty years, there are still several issues that need to be overcome. First, the high surface tension of aqueous base developers can lead to pattern collapse of high aspect ratio patterns and limit resolution. The toxicity of aqueous base developers has also raised concerns about the environment. In order to reduce the problems related to aqueous development, solvents or materials with desirable properties must be used. Recently, there has also been growing interest in solvent-based negative-tone development (NTD) due to its better performance in printing certain feature types. Therefore, solvent-based development of photoresists was investigated in this study. One approach to reduce the pattern collapse problem and environmental issues of the lithographic process is through the use of environmentally friendly solvents with low surface tension. Supercritical carbon dioxide (scCO2) and linear methyl siloxanes (LMS) are green solvents that have low toxicity, low surface tension, low viscosity and can be recycled. Solvent-based development of both polymeric and molecular glass resists with positive- and negative-tone images have been successfully demonstrated in both solvents. High-resolution and high aspect ratio patterns were obtained with no pattern collapse observed using both solvents. As there is little iii understanding about the solvent power of linear methyl siloxanes, the dissolution behavior of polymers and molecular glasses in linear methyl siloxanes was also studied. Besides using low surface tension developers to mitigate pattern collapse problem, another approach is by using materials with high etch resistance that eliminates the use of thick films. Also, because of the low intensity of current EUV light source, the next-generation resists need to demonstrate high sensitivity and optimum absorbance. Inorganic metal oxide nanoparticles based on zirconium oxide (ZrO2) and hafnium oxide (HfO2) with organic ligands have been synthesized for EUV lithography. These nanoparticle resists can be developed as negative-tone patterns using an organic solvent and high-resolution patterns were achieved. The patterning performance of these nanoparticles in different organic solvents was also evaluated. iv.


Advanced Materials for Next-Generation Lithography

Advanced Materials for Next-Generation Lithography

Author: Evan Lawrence Schwartz

Publisher:

Published: 2011

Total Pages: 264

ISBN-13:

DOWNLOAD EBOOK

The constant demand for increased circuit density and higher resolution patterning calls for simultaneous advancements in materials chemistry. A variety of possible approaches for next-generation lithography are explored, centering on the use of directly patternable self-assembling block copolymers, along with hafnium oxidebased nanoparticle photoresists. In one example of the first approach, a random copolymer brush layer of poly(styrene-ran-hydroxystyrene) was designed and synthesized to precisely tune the substrate/polymer surface energy for a lithographically patternable poly([alpha]methylstyrene-block-4-hydroxystyrene) (P[alpha]MS-b-PHOST) block copolymer. The surface was designed to avoid preferential wetting of either P[alpha]MS or PHOST domains to the substrate and orient the block copolymer domains vertically relative to the substrate. To neutralize the polymer/ vapor interface during solvent vapor processing, the film was exposed to a mixed solvent vapor of a defined polarity, creating vertical microdomains with long-range order. In the latter approach, hafnium oxide nanoparticles were covalently coated with a photo-reactive ligand, which allowed neighboring nanoparticles to form a crosslinked network upon exposure to ultraviolet light. The basic science of this new class of resist material is discussed. These negative-tone resists have so far demonstrated sub-50 nm resolution using 193nm interference lithography, and plasma etch resistance over thirteen times greater than PHOST under standard silicon etching conditions. In a combination of the two approaches, the co-assembly of the inorganic nanoparticles with the PHOST phase of P[alpha]MS-b-PHOST is shown. TEM and SAXS studies indicated the expansion of the microdomain periodicity upon nanoparticle incorporation. These block copolymer nanocomposite films offer enhanced functionality and a larger process window for subsequent pattern transfer into semiconductor substrates. In another example of co-assembly, phenolic molecular glass photoresists were blended with low molecular weight, triblock copolymer surfactants based on poly(ethylene oxide)(PEO). The miscibility of these blend components is shown to be a result of preferential hydrogen bonding between the hydroxyl groups attached to the molecular glass and the alkyl ether group of the PEO block, as shown by FTIR and DSC analysis. The blending resulted in an enhancement in segregation strength that led to the formation of sub-10nm self-assembled morphologies, as verified by SAXS. Options for the lithographic patterning of these blends are explored. Lastly, a combined additive and subtractive patterning technique is demonstrated that allows the deposition of multiple block copolymer films, of different domain sizes and pitches, on the same layer of the substrate. The approach used a semifluorinated negative-tone photoresist which is designed to resist intermixing when spin coated on top of a block copolymer film.


Laser-induced Graphene

Laser-induced Graphene

Author: Ruquan Ye

Publisher:

Published: 2020-11-30

Total Pages: 88

ISBN-13: 9789814877275

DOWNLOAD EBOOK

LIG is a revolutionary technique that uses a common CO2 infrared laser scriber, like the one used in any machine shop, for the direct conversion of polymers into porous graphene under ambient conditions. This technique combines the preparation and patterning of 3D graphene in a single step, without the use of wet chemicals. The ease in the structural engineering and excellent mechanical properties of the 3D graphene obtained have made LIG a versatile technique for applications across many fields. This book compiles cutting-edge research on LIG by different research groups all over the world. It discusses the strategies that have been developed to synthesize and engineer graphene, including controlling its properties such as porosity, composition, and surface characteristics. The authors are pioneers in the discovery and development of LIG and the book will appeal to anyone involved in nanotechnology, chemistry, environmental sciences, and device development, especially those with an interest in the synthesis and applications of graphene-based materials.


Updates in Advanced Lithography

Updates in Advanced Lithography

Author: Sumio Hosaka

Publisher: BoD – Books on Demand

Published: 2013-07-03

Total Pages: 264

ISBN-13: 9535111752

DOWNLOAD EBOOK

Advanced lithography grows up to several fields such as nano-lithography, micro electro-mechanical system (MEMS) and nano-phonics, etc. Nano-lithography reaches to 20 nm size in advanced electron device. Consequently, we have to study and develop true single nanometer size lithography. One of the solutions is to study a fusion of top down and bottom up technologies such as EB drawing and self-assembly with block copolymer. In MEMS and nano-photonics, 3 dimensional structures are needed to achieve some functions in the devices for the applications. Their formation are done by several methods such as colloid lithography, stereo-lithography, dry etching, sputtering, deposition, etc. This book covers a wide area regarding nano-lithography, nano structure and 3-dimensional structure, and introduces readers to the methods, methodology and its applications.


Vacuum Ultraviolet Spectroscopy

Vacuum Ultraviolet Spectroscopy

Author: James A. Samson

Publisher: Academic Press

Published: 2000-12-21

Total Pages: 317

ISBN-13: 0080543480

DOWNLOAD EBOOK

Techniques of Vacuum Ultraviolet Spectroscopy was first published in 1967. In the three decades since, the techniques associated with vacuum ultraviolet spectroscopy have been greatly expanded. Originally published as two volumes in the serial "Experimental Methods in the Physical Sciences," Vacuum Ultraviolet Spectroscopy combines in one paperback volume information on the many advances in vacuum ultraviolet (VUV) research. In addition, the book provides students and researchers with concise reviews of the important aspects of designing experiments in the VUV region.This is the only comprehensive treatise describing the use of synchrotron and other light sources for research, along with the new technologies in optical elements, multilayers, mirror coatings, soft x-ray zone plates, VUV detectors, interferometric spectrometers, and subjects such as spectromicroscopy, lithography, and photon-induced fluorescence. Vacuum Ultraviolet Spectroscopy is an ideal handbook both for the beginner and for the experienced researcher in any field requiring the use of VUV radiation. Key Features* Detailed review of synchrotron radiation sources including undulators and wigglers* Comprehensive outline of monochromator design* Concise review of optics theory for multilayers, spectrometers, and zone plates* Information about other important VUV sources such as laser produced plasmas and Electron Beam Ion Trap (EBIT) sources* Applications such as spectromicroscopy, lithography, and fluorescence


Handbook of Laser Micro- and Nano-Engineering

Handbook of Laser Micro- and Nano-Engineering

Author: KOJI SUGIOKA.

Publisher:

Published: 2019

Total Pages:

ISBN-13: 9783319695372

DOWNLOAD EBOOK

This handbook provides a comprehensive review of the entire field of laser micro and nano processing, including not only a detailed introduction to individual laser processing techniques but also the fundamentals of laser-matter interaction and lasers, optics, equipment, diagnostics, as well as monitoring and measurement techniques for laser processing. Consisting of 11 sections, each composed of 4 to 6 chapters written by leading experts in the relevant field. Each main part of the handbook is supervised by its own part editor(s) so that high-quality content as well as completeness are assured. The book provides essential scientific and technical information to researchers and engineers already working in the field as well as students and young scientists planning to work in the area in the future. Lasers found application in materials processing practically since their invention in 1960, and are currently used widely in manufacturing. The main driving force behind this fact is that the lasers can provide unique solutions in material processing with high quality, high efficiency, high flexibility, high resolution, versatility and low environmental load. Macro-processing based on thermal process using infrared lasers such as CO2 lasers has been the mainstream in the early stages, while research and development of micro- and nano-processing are becoming increasingly more active as short wavelength and/or short pulse width lasers have been developed. In particular, recent advances in ultrafast lasers have opened up a new avenue to laser material processing due to the capabilities of ultrahigh precision micro- and nanofabrication of diverse materials. This handbook is the first book covering the basics, the state-of-the-art and important applications of the dynamic and rapidly expanding discipline of laser micro- and nanoengineering. This comprehensive source makes readers familiar with a broad spectrum of approaches to solve all relevant problems in science and technology. This handbook is the ultimate desk reference for all people working in the field.


Non-Crystalline Chalcogenicides

Non-Crystalline Chalcogenicides

Author: M.A. Popescu

Publisher: Springer Science & Business Media

Published: 2006-04-11

Total Pages: 385

ISBN-13: 0306471299

DOWNLOAD EBOOK

The earliest experimental data on an oxygen-free glass have been published by Schulz-Sellack in 1870 [1]. Later on, in 1902, Wood [2], as well as Meier in 1910 [3], carried out the first researches on the optical properties of vitreous selenium. The interest in the glasses that exhibit transparency in the infrared region of the optical spectrum rose at the beginning of the twentieth century. Firstly were investigated the heavy metal oxides and the transparency limit was extended from (the case of the classical oxide glasses) up to wavelength. In order to extend this limit above the scientists tried the chemical compositions based on the elements of the sixth group of the Periodic Table, the chalcogens: sulphur, selenium and tellurium. The systematic research in the field of glasses based on chalcogens, called chalcogenide glasses, started at the middle of our century. In 1950 Frerichs [4] investigated the glass and published the paper: “New optical glasses transparent in infrared up to 12 . Several years later he started the study of the selenium glass and prepared several binary glasses with sulphur [5]. Glaze and co-workers [6] developed in 1957 the first method for the preparation of the glass at the industrial scale, while Winter-Klein [7] published reports on numerous chalcogenides prepared in the vitreous state.